CXEMATEKA.RU, © 2016 | Войти/Зарегистрироваться | In English

ZX Spectrum «Ленинград 48к» - сборка, наладка, увеличение памяти, доработки, схемы, видео

Автор: Константин Айги (CXEMATEKA.RU)


«То, что не удаётся запрограммировать на ассемблере, приходится паять» (http://bash.im/quote/398169)

Когда-то «ZX Spectrum» стал одним из моих первых персональных компьютеров. На нём я постигал азы программирования – от бейсика до ассемблера. И тут уместно будет вспомнить народную мудрость: «То, что не удаётся запрограммировать на ассемблере, приходится паять». Поэтому параллельно я подробно изучал схематехнику компьютера «ZX Spectrum». И так, постепенно, хобби переросло во вполне профессиональную деятельность в составе группы спектрумистов «FFC Computers». Я занимался тогда русификацией игр, дискетированием «ленточных» программ, ремонтом и доработкой Спектрумов (1995-1997 гг.)

За три года профессиональной деятельности в этой сфере через мои руки прошло огромное количество клонов Спектрума. Приносили в ремонт и другие компьютеры, но всё же Спектрумов было подавляющее большинство. И даже однажды мне довелось подключать отечественный контроллер дисковода к фирменному Спектруму 128k (тогда это была большая редкость).

С тех пор у меня сохранилось огромное количество документации к различным клонам Спектрума, сопутствующим устройствам, и к другой компьютерной технике распространенной в то время. Ну и кое-какое железо экзотическое сохранилось )

Начиная с этой публикации, постепенно буду делиться накопленной информацией. Думаю, многое будет полезно поклонникам Спектрума, да и не только )

«Как сделать компьютер? | Building ZX Spectrum 128k clone + Beta Disk Interface + AY-3-8910 (YM2149F)»

Как-то нашел у себя сразу несколько оригинальных плат популярнейшего клона Спектрума – «Ленинград 48k». И тогда я решил непременно собрать эту систему с полным апгрейдом до версии 128k с контроллером дисковода и, разумеется, музыкальным сопроцессором. Весь этот процесс я заснял на видео (смотрите выше) с подробными комментариями. Ниже привожу схемы, по которым работал и подробный план апгрейда.

Принципиальная схема компьютера «Ленинград 48k»

Вариант 1:

Источник: http://sblive.narod.ru/

Вариант 2:

Источник: http://zxbyte.ru/

Вариант 3:

Источник: http://micronc.ru/

Монтажная схема компьютера «Ленинград 48k»

Монтажная схема:

Источник: http://sblive.narod.ru/

Схема на просвет адаптированная для печати:

Источник: http://sblive.narod.ru/

Увеличение памяти компьютера «Ленинград 48k» до 128k

Схема увеличения памяти и коррекции дешифрации портов ввода-вывода
для импортной памяти 41256 DRAM, регенерация в 256 циклов:

Схема увеличения памяти и коррекции дешифрации портов ввода-вывода
для отечественной памяти 565РУ7, регенерация в 512 циклов:

Схема увеличения памяти и коррекции дешифрации портов ввода-вывода
при использовании двух линеек памяти 565РУ5:

Для всех доработок используем 7 дополнительных микросхем:

eD1 - К555(1533)ТМ9 (устанавливается поверх D31)
eD2 - К555(1533)КП11 (устанавливается поверх D30)
eD3 - К555(1533)ЛЕ1 (устанавливается поверх D2)
eD4 - К555(1533)ЛА3 (устанавливается поверх D40)
eD5 - К555(1533)ЛЛ1 (устанавливается поверх D34)
eD6 - К555(1533)ЛИ1 (устанавливается поверх D8)
eD7 - К555(1533)ЛЛ1 (устанавливается поверх D13)

План соединений при апгрейде памяти (41256 DRAM, регенерация в 256 циклов):
01. eD1-1 -> D20-26 (RESET)
02. eD1-2 -> eD2-2
03. eD1-5 -> eD2-11
04. eD1-7 -> eD2-14
05. eD1-15 -> eD3-8
06. eD1-9 -> eD3-10
07. eD1-3 -> D32-12 (D0)
08. eD1-4 -> D32-15 (D1)
09. eD1-6 -> D32-16 (D2)
10. eD1-11 -> D32-19 (D3)
11. eD1-13 -> D32-2 (D4)
12. eD1-14 -> D32-5 (D5)
13. eD1-10 -> D17-13 (2-й экран) – отрезать от земли
14. eD1-12 -> eD7-2 (Выбор ПЗУ 128k)
15. eD7-1 -> D1-10 -> eD7-10 (Выбор TR-DOS инвертированный)
16. D1-11 -> через 10к к +5B
17. D1-11 -> D29-1 (отрезать от +5B)
18. eD7-3 -> D29-27 (отрезать от +5B)
19. eD2-3 -> eD2-13
20. eD2-13 -> eD4-4 -> D10-11 (A14)
21. eD2-10 -> eD4-5 -> eD3-3 -> D10-12 (A15)
22. eD2-15 -> D33-8 (GND)
23. eD2-1 -> eD4-6
24. eD2-4 -> D16-10 (отрезать от A14)
25. eD2-9 -> D16-13 (отрезать от A15)
26. eD2-12 -> eD5-12
27. eD3-1 -> eD4-1
28. eD3-2 -> D41-9 (A1)
29. eD3-4 -> eD4-2
30. eD3-5 -> D14-12 (WR)
31. eD3-6 -> D14-13 (OUTIORQ)
32. eD3-9 -> eD4-3
33. eD5-13 -> D3-2 (H1)
34. eD5-11 -> D21-1...D28-1 (41256 DRAM)
35. eD5-10 -> D10-13
36. eD5-9 -> D9-8 (WE)
37. eD5-8 -> D21-3...D28-3 (41256 DRAM) - отрезать от D9-8

Для соединений я использовал провод МГТФ 0.12. Термостойкая оплетка сохраняет провода в целости при плотном монтаже, а небольшое сечение провода позволяет легко перекидывать соединения со стороны пайки на сторону монтажа деталей через любые свободные отверстия на печатной плате, как показано на фото:

Другие доработки компьютера «Ленинград 48k»

Схема доработок:

1. Стабилизация тактового генератора
2. Нормализация строчной развертки (для кварца частотой 14000 КГц)
3. Стабилизация кадровой развертки
4. Корректировка прорисовки линий (дуги, окружности и т.п.)
5. Установка ПЗУ 27C512
6. Доработка сигнала INT

Схема подключения контроллера XT-клавиатуры Profi:

Схема «читалки» с магнитофона на К554СА3:

Источник: http://service4u.narod.ru/

Этапы сборки, немного фоток

Оригинальная плата «Ленинград 48k» 1988:

Оригинальная плата «Ленинград 48k» 1988

«Ленинград 48k» в сборе:

«Ленинград 48k» в сборе:

«Ленинград 48k» с контроллером XT-клавиатуры Profi:

«Ленинград 48k» с контроллером XT-клавиатуры Profi

«Ленинград 128k» в сборе:

«Ленинград 128k» в сборе

«Ленинград 128k» с контроллером дисковода и музыкальным сопроцессором:

«Ленинград 128k» с контроллером дисковода и музыкальным сопроцессором

«Ленинград 128k» с контроллером дисковода, музыкальным сопроцессором и дисководами:

«Ленинград 128k» с контроллером дисковода, музыкальным сопроцессором и дисководами

Кстати, недавно копаясь в ворохе старого железа и документации, нашел совсем уж экзотическую вещь: настоящий ценник, видимо где-то середины 90-х:

Да, да, контроллер тогда стоил 75 тысяч рублей )) И обратная сторона ценника порадовала не меньше – выяснилось, что он нарисован на куске перфокарты!!! ;)

Да... В этом есть определенный философский подтекст: ценник на контроллер носителя информации нового поколения нарисован на обрывке носителя информации старого поколения... )

Полезные файлы:

  1. Имя файла: DIZZY_5r_48k.zip (109K)
    MD5: e55b3dd84cba8895ebcce6b4efbe5607

    Игра «DIZZY 5». Русифицированная версия игры,
    адаптированная мной в 1996 году для спекртрума 48k.
    В архиве игра в трех форматах: TAP, HOBETA, SCL.

    Скачать: http://www.cxemateka.ru/v1/DIZZY_5r_48k.zip

  2. Имя файла: wildseyr.zip (68K)
    MD5: 62511c9b12f42794053805e140af3009

    Игра «Сеймур на Диком Западе» (русифицированная мной в 1996 году).
    В архиве игра в формате SCL.

    Скачать: http://www.cxemateka.ru/v1/wildseyr.zip

  3. Имя файла: test48k.bin (2,0K)
    MD5: 4bf68803c17aa614b7fbfa939549eaec
    Контрольная сумма прошивки: 85E7

    Тестовая прошивка 48k (модифицированная версия -
    убрал нудную процедуру заполнения экрана, ненужную проверку ПЗУ).

    Скачать: http://www.cxemateka.ru/v1/test48k.bin

  4. Имя файла: test128k.bin (2,0K)
    MD5: 5247c2d7d5c77d270d98d6c0080493da
    Контрольная сумма прошивки: E413

    Тестовая прошивка 128k.

    Скачать: http://www.cxemateka.ru/v1/test128k.bin

  5. Имя файла: sos48k_only.bin (16K)
    MD5: 4c42a2f075212361c3117015b107ff68
    Контрольная сумма прошивки: 1F64

    Стандартная операционная система
    для «ZX Spectrum» 48k (1982 Sinclair Research Ltd).

    Скачать: http://www.cxemateka.ru/v1/sos48k_only.bin

  6. Имя файла: 27512.bin (64K)
    MD5: 77cec243abe304ba3603b63b88709cae
    Контрольная сумма прошивки: 9135

    Комбинированная прошивка для ПЗУ 27512:

    Банк 0 - Пусто.

    Банк 1 - TR-DOS Version 5.5H Copyright (C) 1993 by Rst7.
    MD5: de4131214186667b9fb4022343d34444
    Контрольная сумма: 3F81

    Банк 2 - SOS 128k (Стандартная ОС для «ZX Spectrum» 128k).
    MD5: 97da465c399ff70b907dfd8291e8f9d3
    Контрольная сумма: 266E

    Банк 3 - SOS 48k (Стандартная ОС для «ZX Spectrum» 48k).
    MD5: 6e09e5d3c4aef166601669feaaadc01c
    Контрольная сумма: 2C86

    Скачать: http://www.cxemateka.ru/v1/27512.bin

Где взять детали для сборки?

Сначала надо заказать печатную плату в любой специализирующейся на этом деле конторе. Набор файлов gerber & excellon для изготовления платы: http://www.cxemateka.ru/v1/Leningrad48k.rar (Источник: http://sblive.narod.ru/).

Всё остальное можно найти в продаже. Если не найдутся отечественные детали, всегда можно найти зарубежные аналоги.

ТТЛ-логика, предпочтительнее 1533 (аналог 74ALS) или 555 (аналог 74LS):
1533ЛН1 (74ALS04) - 2 шт.
1533ТМ2 (74ALS74) - 3 шт.
1533ТМ9 (74ALS174) - 1 шт.
1533ИЕ7 (74ALS193) - 4 шт.
1533ЛЕ1 (74ALS02) - 1 шт.
1533ЛП5 (74ALS86) - 1 шт.
1533ЛА3 (74ALS00) - 1 шт.
1533ЛИ1 (74ALS08) - 1 шт.
1533ЛЛ1 (74ALS32) - 1 шт.
1533КП11 (74ALS257) - 8 шт.
1533КП13 (74ALS298) - 2 шт.
1533ИР22 (74ALS373) - 1 шт.
1533ИР9 (74ALS165) - 1 шт.
1533ИР16 (74ALS295) - 1 шт.
1533ЛА4 (74ALS10)- 1 шт.

КМОП:
561ИЕ10 (4520) – 1 шт.

Процессор:
Z80 – 1 шт.

Постоянная память:
EPROM 27C64 - 2 шт. или одна EPROM 27C128 (для версии 48k)

Оперативная память:
565РУ5 - 8 шт. или 565РУ7 - 8 шт. (первые выводы РУ7 нужно будет соединить между собой и заземлить /для версии 48k/)

Вместо 565РУ7 можно ставить зарубежные аналоги: 41256/41257 DRAM, MB81256/MB81257 DRAM.

Кроме того, в Спектрум можно ставить динамическую память большего объема и соотв. потребуется меньшее кол-во микросхем. Как это делается, расскажу в следующем материале.

Узел чтения с магнитофона рекомендуется собирать по приведенной выше схеме на К554СА3 (зарубежный аналог IL311ANM).



Полезный пост + 14 | Бесполезный пост - 0
пятница, 9 декабря 12:41:30 2016    
Константин Айги (CXEMATEKA.RU)
Автор: Ольга Король
Фото у тебя Супер! )

Очень интересное видео! Мне понравилось как ты делаешь и рассказываешь ) Круто! ;) МолодчАга! ;)

Полезный комментарий + 4 | Бесполезный комментарий - 0
понедельник, 2 января 21:31:09 2017 | ссылка на пост    

<<<< 28 27 26 25 24 23 22 21 20 19 18 >>>>

Автор: Владимир Журавлёв
ещё раз здравствуйте, вопрос таков, можно ли миксовать микросхемы серии 555 и 1533? Или же здесь будет конфликт КМОП И ТТЛ сигнала?
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 8 марта 19:03:09 2024 | ссылка на пост    
Владимир Журавлёв
Сообщений: 21
Автор: Анатолий
Здравствуйте.Подскажите,правильно ли я понимаю.Измерил осциллографом строчный синхроимпульс,частота 14530 гц,период 68.8 US.Это значит,что длительность импульса 6.8 мкс?Поправьте,если это не так.
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 12 марта 10:05:31 2024 | ссылка на пост    
Автор: 1N4148
Всем добра. Пожалуйста, дайте кто-нибудь совет по запуску Ленинграда-2. Собрал на плате 90-х годов эту машину, никакого старта, естественно, не получил, потому что на плате куча ошибок. Две недели ломал голову, исправил всё, что нашел, но застрял на следующем: при установке тестового ПЗУ test48k.bin видно, что процессор стартует и тест запускает, так как циклически меняется цвет бордюра, появляются черточки на бордюре, но черточки эти статические, не перемещаются. В основном поле текст не выводится, оно просто каждый раз при включении заполняется хаотическими квадратиками и полосами. На что указывает такое поведение? Куда, как говорится, копнуть? Спасибо.
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 13 марта 12:44:34 2024 | ссылка на пост    
Сообщений: 1
Автор: Анатолий
Здравствуйте.Собрал схему укорачивания строчного синхроимпульса на к555аг3.По моему,ничего не изменилось.Или я не умею мерять длину сси,подскажите,как правильно.
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 13 марта 13:19:19 2024 | ссылка на пост    
Автор: Андрей E-mail: barkovandrei@inbox.ru
Здравствуйте, Константин! Не могли бы Вы подсказать начинающему радиолюбителю? Собрал новодельный Ленинград 48к с пзу на 512, который заказывал на алиэкспрессе. В попытках запустить сломал голову. Изображение получить удалось - уже маленькая победа, а вот дальше не идет. Записал видео с тестовой прошивкой. Также прошивал её начиная с C000, по другим адресам не работает. И после этого выдает такую кашу:
https://youtu.be/wb43VLk_asc?si=FIAm4OYqRQqmY5Lq
В чем может быть проблема?

Без ПЗУ тоже ничего хорошего:
https://youtube.com/shorts/j61fyZM54HI?si=FUTvLmg88exNR3Jz

Интересно, что комплектные транзисторы на выход vrgbs не соответствуют шелкографии: коллектор и эмиттер развернуты. Хорошо, что проверил их.
Сначала включал плату без блокировочных конденсаторов, изображение постоянно уплывало куда-то. Когда их запаял- все исправилось.
Также была одна сбойная ТМ2 (хотя все чипы проверял на TL899): изображение появлялось только если нажать на неё пальцем. Заменил на 74ls74 и тоже всё исправилось. Картинку беру через GBS8200 v4.0

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 17 марта 04:30:23 2024 | ссылка на пост    
Автор: Андрей
Похоже вопрос отпадает: проблема в процессоре. Он оказался cmos, как и несколько других. Теперь вопрос: где найти сейчас ttl z80?
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 17 марта 19:48:39 2024 | ссылка на пост    
Автор: Зодчий Алекс
Андрей, попробуйте установить доп. резистор 1kΩ c выв.6 Z80 на +5V. Это повысит уровень сигнала /RAS и будут запускаться все без исключения Z80 (независимо от того ttl они или cmos) от +5V и даже от меньшего напряжения. Между процессором и D14 (LL1) как раз есть подходящее для него место. Там 3 отверстия вряд в которые можно установить резистор горизонтально или вертикально. Хуже точно не будет.
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 19 марта 18:17:59 2024 | ссылка на пост    
Автор: Андрей
Зодчий Алекс, спасибо! Да, натыкался ранее на Ваш пост про это. Попробовал - не помогает. Похоже, что процессор и так запускается, раз выполняет программу из ПЗУ как на видео, или я ошибаюсь? Похоже, что проблема где-то в другом месте. Я грешу на микросхемы мультиплексоров. Говорят, что они часто выходят из строя. Пробую одну микросхему за другой поднимать и сажать в кроватки, - посмотрим что из этого выйдет. Какая конкретно микросхема вышла из строя надо выяснять. Из диагностики только осциллограф fnirsi одноканальный: им смог только частоты проверить. Также только что пришли микросхемы памяти для расширения до 128: что с ними, что с 4164 - одинаковое поведение.
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 21 марта 11:37:31 2024 | ссылка на пост    
Автор: Константин Айги (CXEMATEKA.RU)
> вместо синкклер ресёч просто чёрная полоса

scp_cpm, в этом случае рекомендуется тест с заполнением экрана прогнать, картина будет более наглядной:
http://www.cxemateka.ru/v1/test48k_org.bin

> Имеет ли смысл ставить AY без расширения памяти до 128/256?

Дмитрий, в принципе да, но программ с поддержкой AY для Спектрума 48К не очень много.

> НУЖНО ЛИ КАК-ЛИБО ПОДПАИВАТЬ ПРОМЕЖУТОЧНЫЕ ОТВЕРСТИЯ (МЕЖДУ СЛОЯМИ)

Владимир, если плата хорошего качества, то нет.

Что же касается клавиатуры, то самодельный вариант достаточно просто можно сделать (либо ЛУТом, либо соединив кнопки проводками на макетке). Но речь тут именно о 40-ка кнопочной версии клавиатуры. Расширенную клавиатуру довольно сложно сделать самостоятельно.

> Б) что это за деталь

Разъем.

> В ) куда идут столбцы и колонки внизу и слева

Там нет соединений, просто схематически обозначены ряды и колонки.

> можно ли миксовать микросхемы серии 555 и 1533?

Можно.

> Только когда сделал по этой схеме https://www.avray.ru/ru/zx-spectrum-256k-upgrade/ все сразу заработало!
> Проходит все тесты и игры. Не пойму почему, но в этой схеме сигнал A8 взят с микросхемы Кп11. Может у Вас есть идея почему так?

Дмитрий, вообще, по приведенной схеме MA8 должен браться с КП12. Ну а в целом, логика схем расширения схожая. Главное собрать правильно и из исправных деталей.

Анатолий, по поводу частоты строк:
https://www.cxemateka.ru/ru/building_zx_spectrum_128k_clone_beta_disk_interface_ay_3_8910_ym2149f/p95

1N4148, для начала рекомендуется добиться устойчивого "матраса" при включении без ПЗУ.

Андрей, похоже, что где-то обрыв либо замыкание в районе видеоконтроллера (D30, D31, D36, D33, D35). Если что-то где-то перемкнуло, то одна или несколько микросхем будут греться сильнее обычного. Обрыв или непропай в районе видеоконтроллера легко обнаружить, прикоснувшись пальцем к проблемной области при включенном ПК - в случае обрыва на экране появятся хорошо различимые помехи.

И лучше не использовать CMOS процессор. Заставить такой процессор нормально работать с «Ленинградом» можно, но наладку производить лучше с обычным заведомо исправным процессором. Старенький Z80 не дефицит. Достать не сложно. Есть и в некоторых онлайн-магазинах и на Авито. Правда, я как-то на Али заказал было партию обычных Z80, а прислали CMOS )

Полезный комментарий + 1 | Бесполезный комментарий - 0
четверг, 21 марта 17:26:55 2024 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 308
Автор: Владимир Журавлёв
Константин, спасибо!
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 21 марта 18:15:25 2024 | ссылка на пост    
Владимир Журавлёв
Сообщений: 21
Автор: Андрей E-mail: barkovandrei@inbox.ru
Константин, спасибо за ответ и огромное СПАСИБО за этот сайт! Без Вас бы ничего не получилось! Вы ранее здесь описывали процесс диагностики, где описывали, по пунктам: где и что проверять.
https://www.cxemateka.ru/ru/building_zx_spectrum_128k_clone_beta_disk_interface_ay_3_8910_ym2149f/p1086

Я воспользоваля этой инструкцией и разобрался в чем проблема!
Проблема была в самой плате: 11 нога микросхемы D32 коротила на линию D2 из-за того, что дорожка была проведена слишком близко к отверстию под это ногу! И на 12 ноге процессора постоянно было 1.5Мгц. Я убрал этот коротыш и все заработало! Причем на схеме на просвет в шапке этой страницы - это тоже есть, обратите внимание!

CMOS процессоры работают, даже 20Мгц!!! (у меня Z84C0020PEC). И другие проверил - также работают стабильно: Z84C0008PSC, TMZX84C00AP-6, LH0080A Z80A-CPU-D. Также спасибо за мод Зодчиму Алексу.

Прикладываю видео работы с 20Мгц процессором (есть небольшие баги на изображении при старте; OS запустается только по RESET-у) на других процессорах с меньшей герцовкой этого нет. Можете оценить:)

Тестовая прошивка:
https://www.youtube.com/shorts/dIScCEvdK3s

Операционная система 48к и попытка сымитировать клавиатуру:
https://www.youtube.com/watch?v=zXW695W_JEo

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 21 марта 22:10:07 2024 | ссылка на пост    
Автор: Андрей
Тут тоже напишу, чтобы поделится, а то некоторые переписки идут на ютубе. Вот схема на просвет: https://www.cxemateka.ru/v1/LeningradMONTGray.png, у меня такая же плата с небольшими изменениями. В этом варианте схемы: https://www.cxemateka.ru/v1/LeningradSCH180dpi.gif D32 как раз ИР22. На схеме на просвет видно, что у 10 и 11 ножек переходные отверстия соприкасаются с другими дорожками. У меня на плате дорожка у 10 ноги отодвинута, а вот 11 прямо соприкасалась с переходным. Я ножом срезал кусок переходного отверстия 11 ноги и устранил эту проблему. Там где D32 (КП13) это второй вариант схемы: https://www.cxemateka.ru/v1/leningrad_sch.pdf - там обозначения отличаются
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 23 марта 14:37:29 2024 | ссылка на пост    
Автор: Андрей
Теперь осталось сделать: подключение клавиатуры (хочу PS/2), доробатка до 128к и подключение музыкального сопроцессора.

У меня несколько штук AY-3-8910 и YM2149F. Я пробовал их через ардуино, - вроде бы работают. Вот результат:
https://youtu.be/ZDWXrjl9sS4?si=Q13qXJkX2vmKcy4o

Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 23 марта 14:45:46 2024 | ссылка на пост    
Автор: Андрей
Всем доброго дня! Хотел поделиться прогрессом. Спаял кустарную клавиатуру для тестов, - теперь и я могу полностью погрузиться в мир восьмибитных программ:) Оказывается, что баг с рисованием окружностей был уже исправлен в этой покупной плате.
https://youtube.com/shorts/pzDG6-4icpc?si=F2zab43g6CWaYSHk

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 24 марта 15:05:58 2024 | ссылка на пост    
Автор: Андрей
Всем доброго времени суток!
Хотел задать один вопрос. Распаял вроде все детали (к561лн2 и обвязка) для загрузки с кассеты. Игра Диззи 5 из шапки темы загружается, а вот звука загрузки нет:( Он вообще должен выводится? Может я забыл что-то. Как его вывести на спикер?

Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 30 марта 01:19:17 2024 | ссылка на пост    
Автор: Владимир Журавлёв
Здравствуйте! Подскажите пожалуйста схему контроллера дисковода (который вы использовали или же другой нормальный), или же его гербер файл (рисунок платы). Я попробовал развести вот эту вот схему, но потратив много времени на это я не добился успеха. То-ли программка () не правильно работает, то-ли сема не правильно составлена, то-ли я такой, а поэтому прошУ помочь мНе в этом! И ещё, КаКоЙ разъём стоит на СПЕКТРУМЕ, я понимаю что СНО или же СНП, но какой? ЗАРАНЕЕ СПАСИБО ЗА ОТВЕТ!
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 30 марта 18:49:15 2024 | ссылка на пост    
Владимир Журавлёв
Сообщений: 21
Автор: Владимир Журавлёв
Владимир Журавлёв
Сообщений: 21
Автор: Александр
Цитата "Автор: Дмитрий
Здравствуйте Константин! Как я не бился с Вашей схемой расширения, ничего не получилось.Только когда сделал по этой схеме https://www.avray.ru/ru/zx-spectrum-256k-upgrade/ все сразу заработало! Проходит все тесты и игры. Не пойму почему, но в этой схеме сигнал A8 взят с микросхемы Кп11.Может у Вас есть идея почему так?"
Дмитрий, здравствуйте!Подскажите пожалуйста, как именно вы подключали плату расширения памяти. Если с сигналами A14 A15 H1 все более менее понятно, то сигнал переключения экранов SCR не понятьно откуда брать и куда подключать???

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 4 апреля 23:06:55 2024 | ссылка на пост    
Сообщений: 7
Автор: Владимир Журавлёв
здравствуйте Константин, ремонтирую свой меджик 06, изображения нет, точнее есть, но кракозябры. Подключил я его через переходник на тюльпаны, на зелёный цветовой канал)типичной распайки ленинградоподобных(, сначало без проца изображение было чб, матрас ,но, с искажениями, потом цвет! обрадовался я, но не тут то и было:(
стал думать на озу, в наличии было только три ру 5-ых, стал я их наставлять вторым этажом по очереди и кракозябры менялись, проц я тогда уже подключил, биппер, если я не ошибаюсь однополярный кстати заработал всего лищшь один раз. Можно ли пробовать подключать заведомо исправный проц? все изображения я вам на вк отправил, там и видео есть:) заранее спасибо за помощшь(и за ответы тоже)

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 23 июля 17:42:46 2024 | ссылка на пост    
Владимир Журавлёв
Сообщений: 21
Автор: Владимир Журавлёв
Владимир Журавлёв
Сообщений: 21
Автор: Андрей
Всем привет! Хотел поделиться прогрессом: все-таки проапгрейдил свой Ленинград до 128к и спаял новую клавиатуру на микриках со стандартным расположение клавиш, а также не удержался и и подключил чип AY-3-8910 прямо на макетке :) Целая история про так как я намучался разбираться как же pt2 музыкальные файлы конвертировать в tap и загружая их с телефона запускать и проигрывать их, но все получилось(воспользовался программой Vortex Trecker 2). Единственная проблема, что Test v4.30 не видит музыкальный сопроцессор, но он почему-то все равно работает и все проигрывает. Надо дальше разбираться с подключением сопроцессора.

Результат: https://youtu.be/HoBarYFqJrA?si=wzB041YezjeS5YBu

Также проверял на макетке привязку к уровню черного, а то старый телек по скарту только черный экран отображал, но и тут все заработало! Займусь добавлением этого расширения прямо на плату компьютера.
Следующий этап собрать переходник под музыкальный сопроцессор, чтобы он выставлялся в разъем процессора на плате.

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 29 июля 18:23:59 2024 | ссылка на пост    
Автор: Константин Айги (CXEMATEKA.RU)
Владимир, прежде чем исправный процессор в сбойную плату поставить, надо проверить, нет ли где кз на землю или +5В по выводам процессора. Ну и не должно быть превышения по питанию.

Андрей, если Test v4.30 не определяет AY, то там, скорее всего, проблема с чтением значений из портов музыкалки. Вот тут есть примеры кода для проверки наличия AY:
https://zx-pk.ru/threads/9302-mozhno-li-programmno-opredelit-nalichie-ay.html

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 30 июля 16:59:28 2024 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 308
Автор: Александр
Добрый день! Собрал ZX Spectrum Ленинград 2. Изначально ставил в плату ОЗУ 41256-08. Естественно, все первые выводы обьединил и соединил с общим проводом(минусом) Плата запустилась нормально и все тесты ОЗУ проходила без проблем. Затем было сделано расширение памяти до 256 кбт по стандарту Скорпион 256. После расширения компьютер включается, появляется меню выбора 48к или 128к или TR-Dos. Можно войти в любую систему(кроме TR-Dos - не подключен контроллер дисковода) В режиме 48кБт можно загрузить и поиграть в простенький тетрис. Проблема в том , что более тяжелые игрушки не грузятся, и вылетают с ошибками. Если запустить тест ОЗУ в режиме 128 кБт то тест завершается ошибкой по адресу 8000 записано -11011011, считано 10110110. Но в тоже время, в режиме 48кБт тест опять проходит без ошибок. Что посоветуете в данной ситуации?
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 12 августа 15:20:31 2024 | ссылка на пост    
Сообщений: 7
Автор: Sergey E-mail: arduino.donbass@gmail.com
Константин, подскажите пожалуйста, в чем преимущества использования в Ленинграде-2012 4х счётчиков 555ие17 по сравнению со старым вариантом на 4х счётчиках 555ие7 в Ленинграде-1/2? Вторые достать гораздо проще.
И ещё: в 2012м допускается замена всех 555ие17 (синхронный реверсивный счетчик) на 555ие18 (4-разрядный двоичный синхронный счетчик) с заменой DD41 555ЛЛ1 на 555ЛА3. Это единственная манипуляция с другими элементами схемы?

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 28 августа 00:59:47 2024 | ссылка на пост    
Автор: Colt_25
Константин, подскажите пожалуйста, в чем преимущества использования в Ленинграде-2012 4х счётчиков 555ие17 по сравнению со старым вариантом на 4х счётчиках 555ие7 в Ленинграде-1/2? Вторые достать гораздо проще.
И ещё: в 2012м допускается замена всех 555ие17 (синхронный реверсивный счетчик) на 555ие18 (4-разрядный двоичный синхронный счетчик) с заменой DD41 555ЛЛ1 на 555ЛА3. Это единственная манипуляция с другими элементами схемы?

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 28 августа 01:04:31 2024 | ссылка на пост    
Сообщений: 4
Автор: Colt_25
Нашел информацию о преимуществах использования 555ие17 вместо 555ие7: https://zx-pk.ru/threads/17338-leningrad-2012.html?p=516418&viewfull=1#post516418
Оказывается с ними не нужно подбирать ёмкости (какие?)

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 28 августа 23:30:48 2024 | ссылка на пост    
Сообщений: 4
Автор: Colt_25
Нашел интересный вариант самодельного тестера микросхем логики на базе Arduino Mega2560 + TFT дисплей-шилд 320*240 ILI9341 с тач-скрином и хранением базы микросхем на microSD карточке: https://vk.com/@gvm_soft-tester-mikroshem-na-arduino-mega-s-sensornym-ekranom

Как по мне, проект более продвинутый и проще в повторении чем более ранний вариант тестера микросхем мелкой логики на ATmega8515 с форума zx-pk.ru

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 29 августа 00:30:32 2024 | ссылка на пост    
Сообщений: 4
Автор: Роман
Константин, добрый день!
Подскажите пожалуйста, зачем нужна корректировка дешифрации IORD при расширении памяти до 128К https://www.cxemateka.ru/v1/128k.png (правая нижняя часть схемы, IORD активно при A1 = 0 и A5 = 0).
Сделал без этой корректировки. Всё работает. Или я чего-то не замечаю?
Спасибо!

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 8 сентября 16:22:28 2024 | ссылка на пост    

<<<< 28 27 26 25 24 23 22 21 20 19 18 >>>>

Огласите весь список, пожалуйста!

Просмотров страницы: 1,235,366

Имя:
E-mail (можно не указывать):
Сообщение:
Чтобы оставлять сообщения на нашем сайте регистрироваться необязательно, однако регистрация дает ряд преимуществ: возможность вступать в личную переписку с другими пользователями системы, добавлять фотографии/картинки в свой профиль, публиковать сообщения на своей собственной персональной страничке и д.р.

Программирование, оформление: Константин Айги
©2016 CXEMATEKA.RU